ActorやComponentの実行優先度を設定したい

質問です。
UntiyのUpdateOrderのようにコンポーネントの実行優先度を設定する方法は何かありますでしょうか?
わかる方がいらっしゃいましたらご回答いただけると幸いです。
何卒よろしくお願いします。

自己解決しました。
ActorにInputPriortyという実行優先度を変更するパラメータがあったのでそれで解決しました。